3
0
Fork 0
forked from mirrors/nixpkgs
nixpkgs/pkgs/development/compilers/ghdl/default.nix
Lluís Batlle i Rossell 7f7c2171c0 Update ghdl mcode to 0.33.
(cherry picked from commit e9d6aadc51ecdd274cd383a99ea840a94b58d954)
2016-03-03 14:06:07 +01:00

35 lines
932 B
Nix

{ stdenv, fetchurl, gnat, zlib }:
# I think that mcode can only generate x86 code,
# so it fails to link pieces on x86_64.
assert stdenv.system == "i686-linux";
let
version = "0.33";
in
stdenv.mkDerivation rec {
name = "ghdl-mcode-${version}";
src = fetchurl {
url = "https://github.com/tgingold/ghdl/archive/v${version}.tar.gz";
sha256 = "09yvgqyglbakd74v2dgr470clzm744i232nixyffcds55vkij5da";
};
buildInputs = [ gnat zlib ];
patchPhase = ''
# Disable warnings-as-errors, because there are warnings (unused things)
sed -i s/-gnatwae/-gnatwa/ Makefile.in ghdl.gpr.in
'';
enableParallelBuilding = true;
meta = {
homepage = "http://sourceforge.net/p/ghdl-updates/wiki/Home/";
description = "Free VHDL simulator, mcode flavour";
maintainers = with stdenv.lib.maintainers; [viric];
platforms = with stdenv.lib.platforms; linux;
license = stdenv.lib.licenses.gpl2Plus;
};
}